Welcome![Sign In][Sign Up]
Location:
Search - computer controller

Search list

[Com Portcomputer321

Description: 电脑温度控制器设计总结 电脑温度控制器设计总结-computer temperature controller design computer temperature Aggregate Aggregate computer controller design temperature controller design summary
Platform: | Size: 362879 | Author: yinsong | Hits:

[Com Portcomputer321

Description: 电脑温度控制器设计总结 电脑温度控制器设计总结-computer temperature controller design computer temperature Aggregate Aggregate computer controller design temperature controller design summary
Platform: | Size: 362496 | Author: yinsong | Hits:

[Delphi/CppBuilderLED-COMPUTER

Description: delphi直接访问和控制电脑的打印口(并行口).htm直接访问和控制电脑的打印口(并行口).htm-delphi direct access and control the computer LPT (parallel port). Htm direct access and control-- Brain LPT (parallel port). htm
Platform: | Size: 540672 | Author: qqq | Hits:

[SCMykq

Description: 又一个电脑控制器.用单片机通过红外遥控,方便的说.有需要的-Also a computer controller. With single-chip through the infrared remote control, easy to say. There is a need of
Platform: | Size: 4241408 | Author: dz | Hits:

[SCM1351542111

Description: 自制电脑可调温度控制器设计说明 大家一起分享-Self-made computer adjustable temperature controller design that everyone share
Platform: | Size: 2216960 | Author: 王名 | Hits:

[SCMxuanguayundongkongzhixitong

Description: 本系统以单片机为核心,通过步进电机实现运动轨迹控制。系统由电机驱动器、黑白线检测、人机交互以及单片机控制器四个部分组成。系统中使用了两个单片机,一片为W78E58B,通过精确算法实现对步进电机的直接控制;另一片为AT89C51,用于语音播放,两单片机之间通过485通信建立联系。此外,看门狗DS1232的应用,提高了单片机控制系统的抗干扰能力。-The system to single-chip microcomputer as the core, through the stepper motor to achieve trajectory control. System consists of motor drives, black and white line detection, human-computer interaction as well as four single-chip controller parts. System using two single-chip, one for W78E58B, through accurate algorithm to realize the direct control of stepper motor another piece for the AT89C51, for voice playback, two single-chip 485 communication between the networking. In addition, the DS1232 watchdog applications, improve the single-chip control system anti-interference ability.
Platform: | Size: 27648 | Author: 融融 | Hits:

[assembly languagewendu-controller

Description: 采用AT89C2051芯片的自制电脑可调温度控制器V1.51源码-AT89C2051 chips made using a computer adjustable temperature controller V1.51 source
Platform: | Size: 52224 | Author: zhaowo | Hits:

[Other Embeded programplctongxun

Description: 本设计要求使用微机与可编程控制器通过串行通信接口进行连接,采用VB编写通信应用程序,使微机与可编程控制器进行数据交换-This design requires the use of computer and programmable logic controller through the serial communication interface to connect, using the preparation of communications VB application, so that PC and programmable controller data exchange
Platform: | Size: 3581952 | Author: 高家泽 | Hits:

[Data structssystem

Description: 单片机温度控制系统,设计基于单片计算机的温度控制器。用于控制电加热炉的温度。-Single-chip temperature control system design is based on single-chip computer temperature controller. Used to control electric heating furnace temperature.
Platform: | Size: 448512 | Author: linghongsen | Hits:

[Otherwireless

Description: Example: Distributed Wireless Control System,可用于无线传感器网络中-This example shows distributed control of a DC-servo using communication over a wireless network. The example also shows how to simulate power consumption and how to use the battery block. The model contains two computer nodes located 20 m apart, each represented by a TrueTime kernel block. A time-driven sensor/actuator node samples the process periodically and sends the samples over the network to the controller node. The control task in this node calculates the control signal and sends the result back to the sensor/actuator node, where it is actuated. The wireless communication link is at the same time subject to a simple power control scheme. Power control tasks running in both the sensor/actuator node and in the controller node periodically send out ping messages to the other node to test the channel transmission. If a reply is received, the channel is assumed to be good and the transmission power is lowered. If on the other hand no reply is received, then the transmi
Platform: | Size: 12288 | Author: zhangxiangbin | Hits:

[DocumentsdigitPID

Description: PID控制器应用的非常广泛,而在计算机控制中,数字PID控制比连续PID控制更为优越,更为灵活。-PID controller of a very broad application, and in computer control, digital PID control PID control for more than the superior, more flexible.
Platform: | Size: 608256 | Author: 周洪 | Hits:

[Embeded-SCM DevelopDS18B20temperaturesensor

Description: DS18B20 温度传感器读数据源程序 系统由中央控制单片机、温度检测器、时钟系统及显示器部分组成。控制器采用单片机AT89C51,温度检测部分采用DS18B20温度传感器,时钟系统用LED作为显示器。 单片机对数据处理后显示时间;温度传感器DS18B20采集温度信号送该给单片机处理,再把时间数据和温度数据送七段LED显示器显示,该系统还具有限温控制,当温度高于或低于某一给定温度值时分别有红灯和绿灯点亮提示,并伴随着蜂鸣器声音提示。本系统同时具有调节高低温上下限,时间调节功能-DS18B20 source temperature sensor reading data from the central control system, single-chip, temperature detectors, clock systems and display components. Single-chip computer controller AT89C51, temperature detection part of the use of DS18B20 temperature sensor system using LED as a clock display. Single-chip data processing for the time after the show DS18B20 collecting temperature sensor to send the temperature to the single-chip signal processing, then the time to send data and temperature data display Seven-Segment LED display, the system also has a limited temperature control, when the temperature is higher than or below a given temperature value of the respective red and green light prompts and tips along with the buzzer sound. At the same time, adjustment of the system with upper and lower limits of high and low temperature, the time adjustment function
Platform: | Size: 26624 | Author: long | Hits:

[SCMcomputer

Description: 单片机与CAN (控制器局域网)总线连接的传统 方式是将CPU与总线控制器和总线收发器相连后再 接入总线网络,这样使CPU外围电路复杂化,整个系 统受外部影响较大。为了解决这一问题,很多单片机 厂商纷纷将CAN控制器集成在单片机芯片上。-Microcontroller and CAN (Controller Area Network) bus to connect the traditional way is the CPU and the bus controller and bus transceivers connected to the bus after the access network, thus complicating the CPU peripheral circuit, the entire system by the external a greater impact. In order to solve this problem, manufacturers have a lot of single-chip will be integrated in the single-chip CAN controller chip.
Platform: | Size: 3186688 | Author: 丁霞 | Hits:

[Remote ControlcomputerControler

Description: 采用C#编写的一款电脑远程控制器。分别在客户机和主机上运行此程序后,用户在主机程序界面上输入客户机IP后,即可利用此程序通过本机键盘鼠标对对方电脑进行控制,类似于桌面远程控制程序-C# prepared using a remote controller computer. In the client and host to run this program, the user interface in the host enter the client IP, you can use this procedure on the local keyboard and mouse to control the other computer, similar to desktop remote control program
Platform: | Size: 1473536 | Author: jerry zhou | Hits:

[matlabMATLABlanguageandapplication

Description: < 控制系统计算机辅助设计--MATLAB语言与应用>>系统地介绍了国际控制界最流行的控制系统计算机辅助设计语言MATLAB,侧重于介绍MATLAB语言编程基础与技巧、数学问题的MATLAB求解、线性系统计算机辅助分析、控制系统与其他复杂系统的Simulink建模,控制系统的计算机辅助设计方法,包括串联控制器、状态反馈控制器、多变量系统频域设计、PID控制器设计、最优控制器设计、LQG/LTR控制器设计、H2=H1 最优控制、分数阶控制、自适应控制、模糊控制、神经网络控制、遗传算法优化控制等。本电子文档为其源码光盘内容,源码多多,利于学习参考。-"" Control System for Computer-Aided Design- MATLAB language and application of "" a systematic introduction to the international control of world s most popular computer-aided control system design language MATLAB, introduced the MATLAB language programming focusing on the foundation and skills, the MATLAB mathematical problem solving, computer-aided analysis of linear systems, control systems and other complex systems Simulink modeling, control system, computer-aided design methods, including serial controller, state feedback controller, multi-variable system, frequency-domain design, PID controller design, optimal controller design, LQG/LTR controller design, H2 = H1 optimal control, fractional order control, adaptive control, fuzzy control, neural network control, genetic algorithm to optimize control. This electronic document its source code CD-ROM content, source code lot, conducive to learning for reference.
Platform: | Size: 2137088 | Author: 任勇 | Hits:

[OtherUSB2.0

Description: < usb2.0硬件设计> USB是外围设备与计算机进行连接的快速且有弹性的新式接口。本书介绍最新的USB 2.0标准,从USB的基础开始介绍,包括实际的硬件与软件设计,并且使用汇编语言、Visual C++、Visual Basic等来示范程序代码的编写。另外还有各种USB芯片介绍,帮助读者快速设计自己的USB设备。本书共14章和1个附录,内容包括:USB简介、 USB传输、检测设备、控制传输、USB控制器芯片、Cypress enCore控制器芯片、驱动程序、设备类别、设备测试、电源管理与信号编码、电路接口、集线器、HID设备、HID程序设计以及USB参考资料等。本书适合从事USB的硬件、软件设计的程序员和其他相关技术人员使用或参考。-<usb2.0硬件设计>> USB is a peripheral device with the computer connected to the new fast and flexible interface. This book describes the latest USB 2.0 standard, starting from a USB-based presentations, including the actual hardware and software design, and the use of assembly language, Visual C++, Visual Basic, etc. to demonstrate the preparation of program code. There are also a variety of USB chips introduced to help the reader quickly design their own USB devices. This book of 14 chapters and an appendix, including: USB profile, USB transmission, testing equipment, control transfer, USB controller chip, Cypress enCore controller chip, driver, equipment type, equipment testing, power management and signal coding , circuit interfaces, hubs, HID devices, HID program design as well as USB reference material. This book suitable for USB hardware, software programmers and other technical staff to use or reference.
Platform: | Size: 7951360 | Author: 王瑛 | Hits:

[VHDL-FPGA-Verilogled_control

Description: 本实验箱采用的液晶显示屏内置的控制器为SED1520,点阵为122×32,需要两片SED1520组成,由E1,E2分别选通,以控制显示屏的左右两半屏。图形液晶显示模块有两种连接方式,一种为直接访问方式,一种为间接访问方式。本实验采用直接控制方式。 直接控制方式就是将液晶显示模块的接口作为存储器或I/O设备直接挂在计算机总线上。计算机通过地址译码器控制E1和E2的选通;读/写操作信号R/W有地址线A1 控制,命令/数据寄存器选择信号由地址线A0控制。 -The experimental box with built-in LCD controller for the SED1520, lattice is 122 × 32, needs two SED1520 formed by the E1, E2, respectively gating to control the display of about two and a half screen. Graphic LCD module has two connections, one for the direct access method, an indirect access. In this study the direct control mode. Direct control method is to interface LCD module as memory or I/O devices directly linked to the computer bus. Computer controlled by address decoder strobe E1 and E2 read/write signal R/W control the address lines A1, command/data register select control signal from the address line A0.
Platform: | Size: 1206272 | Author: yangxiao | Hits:

[OtherfuzzyPIDcontrol

Description: 设计了一种模糊自调整PID控制器,并利用MATLAB语言具体实例方便而迅速地实现了该控制器的计算机仿真。 是一片不错的论文-Design of a fuzzy self-tuning PID controller using MATLAB language and concrete examples of the ease and speed to achieve a computer simulation of the controller. Is a good paper
Platform: | Size: 1952768 | Author: li | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 结合FPGA和以太网传输的特点,设计了一套数据采集系统,应用FPGA的内部逻辑实现对ADC、SDRAM、网卡控制芯片DM9000的时序控制,以FPGA作为采集系统的核心,通过ADC,将采集到的数据存储到SDRAM中,以FIFO方式从SDRAM中读出数据,并将数据结果通过以太网接口传输到计算机-Combination of FPGA and Ethernet features, designed a data acquisition system, application FPGA' s internal logic to realize the ADC, SDRAM, LAN controller chip DM9000 timing control to capture FPGA as the core of the system, through the ADC, will be collected The data stored in SDRAM, the SDRAM in order to read data from the FIFO method, and data results to a computer via Ethernet interface
Platform: | Size: 388096 | Author: gdr | Hits:

[SCMDigitalPID

Description: 数字PID调节器算法的研究,采用常规的PI和PID调节器,构成计算机闭环系统,并对调节器的参数进行整定,使之具有满意的动态性能-Digital PID controller algorithm, the conventional PI and PID regulator, constitute a closed-loop system computer, and the regulator tuning parameters, so that a satisfactory dynamic performance
Platform: | Size: 37888 | Author: 李焕东 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 13 »

CodeBus www.codebus.net